Primitive

XOR Primitive



Name: XOR
Description: OUT = logical exclusive OR of inputs IN1 and IN2
NOTE In Verilog HDL, you must use the built-in xor gate primitive to implement the XOR logic function. Go to Using a Verilog HDL Gate Primitive for more information.

- PLDWorld -

 

Created by chm2web html help conversion utility.