Primitive

LATCH Primitive



AHDL Function Prototype (port name and order also apply to Verilog HDL):

FUNCTION LATCH (D, ENA)
   RETURNS (Q);


VHDL Component Declaration:

COMPONENT LATCH
   PORT (d  : IN STD_LOGIC;
      ena: IN STD_LOGIC;
      q  : OUT STD_LOGIC);
END COMPONENT;
Inputs
ENA D
Output
Q
L X
H L
H H
Qo*
L
H

* Qo = level of Q before clock pulse

Latches are level-sensitive.

NOTE When the ENA (latch enable) input is high, the latch passes a signal from D to Q. When the ENA input is low, the state of Q is maintained, regardless of the D input.

For devices that do not support latch enable, logic synthesis generates logic equations containing latches with latch enables. These logic equations correctly emulate the logic specified in the project.


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.