Megafunction

lpm_inv (NOT Gate) Megafunction



Parameterized inverter megafunction. Altera® recommends using a NOT primitive or operator rather than lpm_inv. The lpm_inv function is useful only when compiling files imported from other industry-standard design tools.

Altera also recommends instantiating this function as described in Using the MegaWizard® Plug-In Manager.

This topic contains the following information:

 

AHDL Function Prototype (port name and order also apply to Verilog HDL):

FUNCTION lpm_inv (data[LPM_WIDTH-1..0])
   WITH (LPM_WIDTH)
   RETURNS (result[LPM_WIDTH-1..0]);

 

VHDL Component Declaration:

COMPONENT lpm_inv
   GENERIC (LPM_WIDTH: POSITIVE;
      LPM_TYPE: STRING := "LPM_INV");
      LPM_HINT: STRING := "UNUSED");
   PORT (data: IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0);
      result: OUT STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0));
END COMPONENT;

 

VHDL LIBRARY-USE Declaration

LIBRARY lpm;
USE lpm.lpm_components.all;

 

Port Descriptions:

INPUT PORTS

Port Name Required Description Comments
data[] Yes Data input to the lpm_inv. Input port LPM_WIDTH bits wide.

OUTPUT PORTS

Port Name Required Description Comments
result[] Yes Inverted result. Output port LPM_WIDTH bits wide.

 

Parameter Descriptions:

Parameter Type Required Description
LPM_WIDTH Integer Yes Width of the data[] and result[] ports.
LPM_HINT String No Allows you to specify Altera-specific parameters in VHDL Design Files(.vhd). The default is "UNUSED".
LPM_TYPE String No Identifies the library of parameterized modules (LPM) entity name in VHDL Design Files.

 

Truth Table/Functionality:

Input Output
data[] result[]
0 1
1 0

 

Resource Usage:

Uses no resources (that is, 0 logic cells).

NOTE This topic prints best in Landscape orientation.


Back to Top

- PLDWorld -

 

Created by chm2web html help conversion utility.